2021-07-19   Erneuerung der Großteile-Verkupferungsanlage (GSI Helmholtzzentrum für Schwerionenforschung GmbH)
Die GSI Helmholtzzentrum für Schwerionenforschung GmbH in Darmstadt plant die Erneuerung der Großteile-Verkupferungsanlage (Tankverkupferungshalle / TVH). Ansicht der Beschaffung »
Erwähnte Lieferanten: ERO GmbH Anlagenbau
2021-04-12   Erneuerung der Großteile-Verkupferungsanlage (GSI Helmholtzzentrum für Schwerionenforschung GmbH)
Die GSI plant die Erneuerung der Großteile-Verkupferungsanlage (Tankverkupferungshalle/TVH). Ansicht der Beschaffung »
2020-04-21   Technisches Berufskolleg – Blumenstraße 93: Galvanikneubau (Ausstattung) – VE 14 (Stadt Solingen)
Im Neubaugebäude, Bau einer Galvanik-Ausstattung (Kunststoffbecken, Edelstahlgestelle, Edelstahlbecken, Pumpen, Filtration, Gleichrichter, Steuerung, Verkabelung, Warmwasserbeheizung der Becken, Kühlgeräte, Wärmetauscher, Elektrische Beheizung). Ansicht der Beschaffung »
Erwähnte Lieferanten: Oberflächen-& Elektrotechnik Scheigenpflug GmbH
2018-11-23   1 pc. Furnace for LPCVD (Fraunhofer Gesellschaft zur Förderung der angewandten Forschung e.V. über Vergabeportal deutsche eVergabe)
The FhG ISIT plans to purchase a “Vertical LPCVD System” with 2 tubes or “2 Vertical LPCVD System". One for the deposition of TEOS and the other tube for the deposition of Ge and phosphor doped Si. New and used equipments are permitted. It should be a automated vertical furnace system designed for min. 100 process wafers with 200 mm diameter. The exhaust vent line for process gas must be connectable to exhaust gas treatment equipment (e.g. scrubber). Ansicht der Beschaffung »
2018-06-12   Elektronenstrahl-Lithographieanlage (Fraunhofer Gesellschaft zur Förderung der angewandten Forschung e. V. über Vergabeportal deutsche eVergabe)
Im Rahmen der Beschaffung soll eine hochgradig automatisierbare und hochauflösende Elektronenstrahl-Lithographieanlage (ebeam) ausgewählt werden. Mit dieser Anlage soll in elektronenstrahlempfindlichen Lacken auf Halbleitersubstraten (Wafer) und Quarzmasken mit sehr hoher Präzision und Genauigkeit Strukturen mit einem Auflösungsvermögen von bis zu wenigen Nanometern (nm) generiert werden. Ansicht der Beschaffung »
Erwähnte Lieferanten: Raith B. V.
2018-03-29   1 pc. fully automated electroplating system (Fraunhofer Gesellschaft zur Förderung der angewandten Forschung e.V. über Vergabeportal eVergabe)
Fraunhofer IMS requires a new or refurbished fully automated electroplating system. In this system 8” (200 mm) wafers can be processed according to the specifications below. Optional: The system can be easily changed to process wafer size 6”. The wafers are loaded automatically by a robot handler from cassette to cassette. The system consists at least of 4 electroplating modules for 4 different electrolytes Cu, Ni, Au and Sn, a Prewet/Spin Rinse module, a module for UBM (under bump metallization) removal … Ansicht der Beschaffung »
Erwähnte Lieferanten: ClassOne Technology GmbH
2012-10-24   NiPdAu-Anlage (Fraunhofer Gesellschaft e.V.)
Die zu beschaffende Anlage dient zur Erzeugung von metallischem Oberflächenbeschichtungen für die Aufbau- und Verbindungstechnik auf nasschemischem Wege für die Entwicklung komplexer Baugruppen. Dabei liegt der Fokus auf multifunktionalen Oberflächen, an denen verschiedene Verbindungstechniken (Löten- Al- und Au- Drahtbonden, Kleben, Schaltkontakte) ausgeführt wreden können. Ziel ist es, in dieser Anlage den neuesten technischen Stand im Bereich Oberflächenfinish auf Nickel oder Palladium und zum Anderen … Ansicht der Beschaffung »